• 文字サイズ変更
  • S
  • M
  • L
  • No : 14540
  • 公開日時 : 2023/08/09 17:51
  • 印刷

【Lattice FPGA】CertusPro-NXで差動入力/出力バッファをVHDL/Verilog-HDLでインスタンスする方法は?

CertusPro-NXをターゲットに設計していますが、差動入力/出力バッファVHDL/Verilog-HDLでインスタンスする方法を教えてください。

カテゴリー : 

回答

A.以下の手順でインスタンスしてください。

 1.Verilog-HDLの場合、以下のように記述してください。

   IB Inst_IB(.I(In), .O(Out));

 

   VHDLの場合、以下のように記述してください。

   component IB

   port (I: in std_logic;

       O: out std_logic);

   end component;

  I nst_IB: IB port map(I=>Din, O=>Dout);

 

     2.開発ツールRadiantで論理合成(Synthesize Design)実行後、

   Device Constraint Editorを起動してPortタブを選択し、

   入力信号のIO_TYPE(バッファ設定)LVDSなど差動バッファ

   に変更して保存してからMap DesignPlace & Route Design

   を実行してください。

 3.Place & Route Design完了後、Signal/Pad Reportを開いて

   設定が反映されていることを確認してください。

アンケート:ご意見をお聞かせください

ご意見・ご感想をお寄せください お問い合わせを入力されましてもご返信はいたしかねます